回顾:
- 工业软件-SOLIDWORKS 3D CAD 易学习 缩短产品开发时间降本改进质量
- 工业软件-数学·图形·编程 MATLAB 支持数据分析、算法开发和建模
- 工业软件-WOLFRAM MATHEMATICA 全球现代技术计算的终极系统
工业软件-新思科技(Synopsys, Inc.)-芯片为软件提供强力支持
先进的芯片为我们每天依赖的卓越软件提供强力支持。从智能手机、可佩戴设备、智能医疗设备,到无人驾驶汽车,芯片是一切的基础。新思科技(Synopsys, Inc.),作为业内领先的供应商,为设计和验证复杂芯片,以及制造它们所需的先进工艺设计,提供业内领先的解决方案。
与IP 核产品组合和软件安全与质量解决方案相结合,我们的芯片设计工具将帮助硬件设计人员和软件开发人员,更好地提供万物智能安全(Smart, Secure Everything)的解决方案。
芯片设计
我们的数字、定制及模拟/混合信 设计工具可帮助客户在优化功耗、性能、面积和良率的同时,实现理想的结果质量与设计效率。
验证
我们的验证解决方案通过加快先进芯片和软件的验证进程,进而帮助领先的系统和半导体公司将其设计用时缩短数月。
硅工程
我们前沿的 TCAD 和光刻解决方案被全球顶尖的半导体公司用于开发下一代工艺和模型,进行芯片的生产并优化 5nm 及以下产品的良率。
3DIC Compiler 业内仅有的统一、2.5和3D多裸晶芯片封装协同设计与分析平台
新思科技的3DIC Compiler 平台是一个完整的端到端解决方案,用于高效的 2.5D 和 3D 多裸晶系统集成。 3DIC Compiler建立在新思科技Fusion Design Platform? 的通用的、统一数据模型的基础架构之上,并结合了众多变革性的多裸晶设计功能,以提供一个从架构到签核的完整的平台。而所有这些都在一个独特的、整合的用户环境中。这种超融合的解决方案集成了:沉浸式 2D 和 3D 可视化功能、跨层探索和规划、设计和实现、DFx 以及系统级验证和签核分析,以带来超高水平的设计效率,以及扩展容量和性能的能力,从而无缝支持数十个堆叠的异构工艺裸晶,包括数十亿个裸晶间连接。企业级IT圈
PrimeSim Continuum – 针对下一代仿真的统一工作流程
终于您可以全神贯注于设计的复杂性,PrimeSim Continuum承包了分析的复杂性
PrimeSim Continuum提供了针对下一代仿真技术的统一工作流,以加速超融合设计的设计和签核。 PrimeWave是一种全新构造的设计验证环境,已与PrimeSim Continuum集成,可以为所有PrimeSim Continuum的仿真引擎提供无缝的仿真体验。
新思科技 TestMAX
TestMAX?系列产品为半导体器件中的所有数字,存储器和模拟部分提供创新及先进的测试和诊断功能。 TestMAX系列提供为汽车测试自动化和功能安全的独特功能,亦可利用许多设计中常见的高速接口提高测试带宽, 增加测试效率。
TestMAX 內的所有功能均可相互操作, 透过强大而灵活的调控,打造测试自动化流程。复杂的DFT逻辑的早期验证通过在RTL阶段的全面整合而得以实现,与此同时通过对新思科技 Fusion设计平台的直接链接,亦实现物理、时序和功耗的同时优化。这些新功能,加上对早期可测试性分析和规划,阶层ATPG,物理感知诊断,逻辑BIST,存储器自检和修复以及模拟故障仿真的全面支援,确保 TestMAX 产品系列能解决用户面对诸多关键的测试难题,并应对各种严苛的测试需求。企业级IT圈
RTL设计与综合 – 为先进节点准备的下一代RTL设计
Design Compiler 系列产品通过其完整的 RTL 综合和测试解决方案,提升生产效率。Design Compiler NXT 是 RTL 综合产品中,Design Compiler 系列中的全新创新产品,并进一步扩展了 Design Compiler Graphical 的市场领先的综合地位。Design Compiler NXT 采用了全新的综合创新技术,可以显著缩短运营时间,提高结果质量,并且与 IC Compiler II 有着极其密切的 RC 和时序的关联性。
Design Compiler NXT 使用了先进的优化、与 IC Compiler II 布局布线共享的技术,从而在 5nm 及以上的先进工艺节点上提供理想的结果质量。此外,它还使 RTL 设计人员能够预测、可视化和缓解线路拥塞,并在物理实现之前执行版图规划上的探索。
Design Compiler系列还包括: 用于实现高质量生产测试和合格芯片的快捷且经济的途径的 Synthesis-based 测试解决方案; 用于低功耗综合和优化的 Power Compiler;用于等价性检查的 Formality;以及有着无比丰富的可综合 IP 的 DesignWare 库 。
Fusion Compiler 是 Silicon Design 系列产品的新成员。 Fusion Compiler 领先实现高度收敛,全流程数字实现的 RTL-to-GDSII 解决方案。 Fusion Compiler 根据单一,高度可扩展的数据模型构建,包括用于时序、提取、综合、布局、合法化、时钟拓扑创建和布线的通用引擎。这些性能卓越的引擎构成了一个统一的优化框架。这便是使 Fusion Compiler 达成全流程高度收敛、领先结果质量和成果时间的要素。
物理实现
全新 RTL-to-GDSII 解决方案
Fusion Compiler 是第一个实现高度融合,全流程数字化实现的 RTL-to-GDSII 解决方案。 Fusion Compiler 基于单一,高度可扩展的数据模型构建,配有用于时序、提取、综合、布局布线、合法化、时钟拓扑创建的通用引擎。企业级IT圈
交付最好和最可预测的成果质量
这些同类最佳的引擎,构成了一个统一的优化框架,它是 Fusion Compiler 全流程收敛,拥有领先的成果质量(QoR)和优良的结果时间的关键推动因素。通过将创新的、高容量的综合,与 IC Compiler II 业界领先的布局布线技术融合到单一数据模型中,Fusion Compiler 提供把可预测的QoR 带入新的级别,以解决业界最先进设计中存在的挑战。
全面的物理实现解决方案
IC Compiler II 为 Fusion Design Platform 中的物理实现,提供了业界领先、且经过产品化验证的解决方案。IC Compiler II 交付业界最佳的成果质量的同时,可实现前所未有的生产率,并支持跨不同工艺节点的设计。IC Compiler II 为专门应对高性能、功耗、面积和上市时间的压力,提供了创新的解决方案,针对扁平化或层次化设计、早期设计探索、布局布线优化、时钟树综合、生产合规性和 signoff 闭合等等问题。 IC Compiler II 是一个完整的从 netlist 到 GDSII 布局布线系统,包含了为处理先进节点工艺设计的早期设计探索和原型设计、设计计划、模块实现和最后的芯片装配。
物理验证 – 使用 IC Validator
行业领先的生产效率
IC Validator 是一套全面的高性能 Signoff 物理验证解决方案,可以帮助客户在从成熟到先进的各个工艺节点上,显著提高其生产效率。IC Validator 采用业界先进的分布式处理算法,可扩展到超过 4,000 个 CPU 内核。该工具的高性能和可扩展性实现了目前业内领先的超大芯片的物理验证签收。数十亿个晶体管的设计,一天内完成设计规则检查 (DRC)、布局与原理图对照验证 (LVS) 以及金属填充的一次迭代。企业级IT圈
IC Validator 物理验证与 Fusion 设计平台中的 Synopsys Fusion Compiler? RTL-to-GDSII 解决方案以及 IC Compiler? II 布局布线系统,达成无缝集成。这种集成的融合技术通过在实现环境中实现独立的 Signoff 质量分析和自动修复,从而加速了芯片制造部门的设计收敛。
为先进工艺节点物理 Signoff 打造的 IC Validator 创新
了解 IC Validator 的全新技术(包括 Explorer DRC、Live DRC、可扩展性、弹性计算),可将流片时间缩短一半。
设计签核 – 值得信赖的金牌解决方案,适合尖端芯片设计
尖端芯片设计不容出错,因此选择合适的工具是顺利完成硅晶设计签核的关键。Synopsys 提供了涵盖多个领域的集成化的先进设计分析和签核产品套件,这些技术均以金牌签核为基础,值得客户信赖。Synopsys 在业内保持领先,不断创新设计签核,解决高级工艺节点中日益增多的设计复杂性、规模和芯片设计新要求等难题。
加快设计收敛
Synopsys 的设计分析和签核解决方案包括用于静态时序分析、高级信 完整性、电源和 电源完整性、寄生参数提取、ECO 收敛、晶体管级分析和库表征的广泛产品组合。签核技术与 IC Compiler?II 和 Fusion Compiler 的原生集成,使物理设计人员有把握实现全部性能-功耗-面积 (PPA) 潜力,通过极其快捷的路径完成设计收敛。
LynxNXT Automation System – 流程自动化
基于FPGA芯片设计
加速 FPGA芯片设计
新思科技的 FPGA设计综合解决方案提供 Synplify Pro? 和 Synplify? Premier,通过深度调试可见性、增量设计、广泛的语言支持以及基于 FPGA设计的产品的优异的性能和面积,缩短硬件的出货时间。
Synplify 还能满足以下市场要求:
高度可靠性和功能安全性
新思科技 Synplify? Premier 软件为 FPGA设计人员提供了一种自动化方法,可以在其设计中融入功能安全性、延长正常运行时间,并保障高度可靠的设计操作。随着 FPGA芯片器件尺寸的缩小,该解决方案将成为工业、医疗、汽车、通信、军事和航空航天应用中部署系统的必备技术。企业级IT圈
SoC/ASIC 原型设计
新思科技的 FPGA设计解决方案可以使开发者在芯片制造之前尽早进行嵌入式软件开发和软硬件协同设计。
可扩展的 SoC 验证 提前启动软件系统验证 缩短项目计划数月
更早更快地找到 SoC 缺陷,更早启动软件,并验证整个系统
业内速度领先的引擎
使用业内领先的 VCS? 仿真、Verdi? 调试、SpyGlass? 静态、VC Formal 和经过硅验证的 IP 验证整个SoC
充分利用市面上速度领先的仿真系统更早地启动软件
通过 Virtualizer? 虚拟原型设计和 HAPS? 原型设计验证整个系统
VCS 统一编译
在模拟、仿真和原型设计环境之间无缝过渡
Verdi 统一调试
跨所有域和抽象层次查找并修复缺陷,显著提高调试效率
原生集成
取得更高的验证工作效率、性能和吞吐量
静态和形式验证
先进的静态和形式验证,下一代技术可以在设计周期内更快速地找到缺陷并加快根本原因分析。
下一代静态和形式验证解决方案
设计和验证工程师可结合使用 Synopsys 的 VC Formal?、VC LP?、VC SpyGlass? 和 SpyGlass? ,无需复杂的设置、测试平台或激励,即可在设计流程的早期快速分析并检查 RTL 设计。这样可在仿真之前发现大部分缺陷并进行修复,提高仿真的速度和效率,并减少总体成本、时间和精力。Synopsys 的 SpyGlass 和 VC Formal 解决方案基于下一代数据库和引擎构建,可提供验证超大、超复杂设计所需的功能和性能。VC Formal 和 VC LP 提供统一的设计读取并支持与 Design Compiler 相同的 Tcl 命令,简单易用并可以出色地调试问题,从而实现快速轻松地部署。Synopsys 提供全面的咨询服务以加速这些技术的采用,并派遣专家将这些技术推广到客户的生产设计中。
调试、规划与覆盖率
业内领先的设计与验证调试平台
Synopsys 调试解决方案构建在 Verdi 先进调试平台之上,可解决最复杂的 SoC 调试问题。这包括一系列的先进技术,诸如用于调试、规划和覆盖率的 Verdi 、用于调试可视性优化的 Siloti、用于低功耗调试的 Verdi 功耗感知调试、用于统一混合信 调试的 Verdi 先进的 AMS 调试、用于精确指令嵌入式处理器调试的 Verdi HW SW 调试、用于协议导向性能分析与调试的 Verdi 性能分析器。企业级IT圈
硬件仿真
行业速度领先的硬件仿真系统
Synopsys ZeBu Server 是业内超快的硬件仿真 (emulation) 系统。Zebu Server 4 充分利用其独特的快速仿真架构、先进的商用 FPGA 以及基于 FPGA 的仿真软件的创新,性能比传统硬件仿真解决方案高 2 倍。这些软件创新使用户能够加快编译速度,进行高级调试(包括与 Verdi 的原生集成)、功耗分析、仿真加速和混合仿真。 这些使用案例还得到了业内十分全面的事务处理器、速度适配器和虚拟模型套件的进一步支持。
ZeBu? Empower具有突破性的性能,可进行快速的软-硬件功耗验证。它的性能允许对整个设计及其软件负载所做的功耗分析每天进行多次迭代。软件和硬件设计人员可以利用功耗分布图来更早地识别重要的针对动态功耗和泄漏功耗的改进机会。 ZeBu Empower还可将功耗关键模块和时间窗口馈入Synopsys PrimePower,以加快RTL功耗分析和门级功耗签核。
回顾:
- 工业软件-SOLIDWORKS 3D CAD 易学习 缩短产品开发时间降本改进质量
- 工业软件-数学·图形·编程 MATLAB 支持数据分析、算法开发和建模
- 工业软件-WOLFRAM MATHEMATICA 全球现代技术计算的终极系统
声明:本站部分文章及图片源自用户投稿,如本站任何资料有侵权请您尽早请联系jinwei@zod.com.cn进行处理,非常感谢!